JOI strapon for sissy slut cum countdown

2k00Share
$5.00$9.99Save 50%
oct 17, 2017Stream and Download466.19 MB05m03 HDIncluded in Get My Vids

Sissy slut loves my big black cock. Strapped on and ready for him I wanna pound him with my BBC. I stroke it and tell him exactly how to touch it. Controlling how fast and how much he can stroke it. Edging him, I can tell he is close .....STOP Grab my ass fuckable toy and show him again how I am going to stroke him while I keep fucking him with that monster black cock. He is so horny so ready I show him exactly how I am going to pump his pussy ass with my big dick. Stroking his cock as fast as I am fucking him I can tell he is ready to blow. Give him cum countdown and command that sissy to make his tiny little dicklette cum for me

Show More
0 Comments
profile-pic